CrossRef enabled

PAC Archives

Archive →

Pure Appl. Chem., 1990, Vol. 62, No. 9, pp. 1709-1720

http://dx.doi.org/10.1351/pac199062091709

Mechanisms of silicon etching in fluorine- and chlorine-containing plasmas

D. L. Flamm

CrossRef Cited-by theme picture

CrossRef Cited-by Linking

  • Dong Xiao, Li Ning, Zhu Zhen, Shao Hezhu, Rong Ximing, Liang Cong, Sun Haibin, Feng Guojin, Zhao Li, Zhuang Jun: A nitrogen-hyperdoped silicon material formed by femtosecond laser irradiation. Appl. Phys. Lett. 2014, 104, 091907. <http://dx.doi.org/10.1063/1.4868017>
  • Huang Shuo, Gudmundsson J T: A current driven capacitively coupled chlorine discharge. Plasma Sources Sci. Technol. 2014, 23, 025015. <http://dx.doi.org/10.1088/0963-0252/23/2/025015>
  • Milenin Alexey P., Boullart Werner, Quli Farhat, Wen Youxian: Study on processing step uniformity tuning during FET fabrication and sensor wafer response as a function of chuck temperature adjustment. Jpn. J. Appl. Phys. 2014, 53, 03DC02. <http://dx.doi.org/10.7567/JJAP.53.03DC02>
  • Tajima Satomi, Hayashi Toshio, Ishikawa Kenji, Sekine Makoto, Hori Masaru: Formation of Nanoporous Features, Flat Surfaces, or Crystallographically Oriented Etched Profiles by the Si Chemical Dry Etching Using the Reaction of F2 + NO → F + FNO at an Elevated Temperature. J. Phys. Chem. C 2013, 130927130439007. <http://dx.doi.org/10.1021/jp4084794>
  • Baklanov Mikhail R., de Marneffe Jean-Francois, Shamiryan Denis, Urbanowicz Adam M., Shi Hualiang, Rakhimova Tatyana V., Huang Huai, Ho Paul S.: Plasma processing of low-k dielectrics. J. Appl. Phys. 2013, 113, 041101. <http://dx.doi.org/10.1063/1.4765297>
  • Lee Yong-Seok, Jang Yun-Ho, Kim Yong-Kweon, Kim Jung-Mu: Thermal de-isolation of silicon microstructures in a plasma etching environment. J. Micromech. Microeng. 2013, 23, 025026. <http://dx.doi.org/10.1088/0960-1317/23/2/025026>
  • Solano Manuel, Faryad Muhammad, Hall Anthony S., Mallouk Thomas E., Monk Peter B., Lakhtakia Akhlesh: Optimization of the absorption efficiency of an amorphous-silicon thin-film tandem solar cell backed by a metallic surface-relief grating. Appl. Opt. 2013, 52, 966. <http://dx.doi.org/10.1364/AO.52.000966>
  • Tezani L.L., Pessoa R.S., Moraes R.S., Medeiros H.S., Martins C.A., Maciel H.S., Petraconi Filho G., Massi M., da Silva Sobrinho A. S.: Automation of a Mass Flow Controller for Application in Time-Multiplex SF6 +CH4 Plasma Etching of Silicon. Contrib. Plasma Phys. 2012, 52, 735. <http://dx.doi.org/10.1002/ctpp.201200067>
  • Castelli M., Jourdain R., Morantz P., Shore P.: Rapid optical surface figuring using reactive atom plasma. Precision Engineering 2012, 36, 467. <http://dx.doi.org/10.1016/j.precisioneng.2012.02.005>
  • Agarwal Ankur, Rauf Shahid, Collins Ken: Extraction of negative ions from pulsed electronegative capacitively coupled plasmas. J. Appl. Phys. 2012, 112, 033303. <http://dx.doi.org/10.1063/1.4745877>
  • Shin Hyungjoo, Zhu Weiye, Donnelly Vincent M., Economou Demetre J.: Surprising importance of photo-assisted etching of silicon in chlorine-containing plasmas. J Vacuum Sci Technol A Vacuum Surf Films 2012, 30, 021306. <http://dx.doi.org/10.1116/1.3681285>
  • Hopman Sybille, Mayer Kuno, Fell Andreas, Mesec Matthias, Granek Filip: Laser cutting of silicon with the liquid jet guided laser using a chlorine-containing jet media. Appl Phys A 2011, 102, 621. <http://dx.doi.org/10.1007/s00339-010-6155-5>
  • Dilonardo Elena, Bianco Giuseppe V., Giangregorio Maria M., Bruno Giovanni, Capezzuto Pio, Losurdo Maria: Surface Texturing of n- and p-Doped c-Si Using a Novel Plasma Chemical Texturing Process. Energy Proc 2011, 10, 1. <http://dx.doi.org/10.1016/j.egypro.2011.10.143>
  • Chung Yung-Bin, Lee Dong-Kwon, Lim Jong-Sung, Hwang Nong-Moon: Reduction of amorphous incubation layer by HCl addition during deposition of microcrystalline silicon by hot-wire chemical vapor deposition. Solar Energy Mater Solar Cells 2011, 95, 211. <http://dx.doi.org/10.1016/j.solmat.2010.04.021>
  • Ham Yong-Hyun, Kim Dong-Pyo, Park Kun-Sik, Jeong Ye-Sul, Yun Ho-Jin, Baek Kyu-Ha, Kwon Kwang-Ho, Lee Kijun, Do Lee-Mi: Dual etch processes of via and metal paste filling for through silicon via process. This Solid Films 2011, 519, 6727. <http://dx.doi.org/10.1016/j.tsf.2011.01.406>
  • Fang Qingling, Li Xiaodong, Tuan Anh Pham, Perumal Jayakumar, Kim Dong-Pyo: Direct pattern transfer using an inorganic polymer-derived silicate etch mask. J Mater Chem 2011, 21, 4657. <http://dx.doi.org/10.1039/c0jm03869e>
  • Dilonardo Elena, Valerio Bianco Giuseppe, Michela Giangregorio Maria, Losurdo Maria, Capezzuto Pio, Bruno Giovanni: Silicon doping effect on SF6/O2 plasma chemical texturing. Journal of Appl Phys 2011, 110, 013303. <http://dx.doi.org/10.1063/1.3603051>
  • Chiaravalloti Franco, Dujardin Gérald, Riedel Damien, Pinto Henry, Foster Adam: Atomic-scale study of the adsorption of calcium fluoride on Si(100) at low-coverage regime. Physical Review B (Condensed Matter and Materials Physics) 2011, 84, 155433. <http://dx.doi.org/10.1103/PhysRevB.84.155433>
  • Yao Y.X., Wang B., Wang J.H., Jin H.L., Zhang Y.F., Dong S.: Chemical machining of Zerodur material with atmospheric pressure plasma jet. CIRP Annals - Manufacturing Technology 2010, 59, 337. <http://dx.doi.org/10.1016/j.cirp.2010.03.118>
  • Gilgunn P J, Fedder G K: On the origin of selectivity and anisotropy loss during microstructure release etch. J Micromech Microeng 2010, 20, 035021. <http://dx.doi.org/10.1088/0960-1317/20/3/035021>
  • Addae-Mensah Kweku A., Retterer Scott, Opalenik Susan R., Thomas Darrell, Lavrik Nickolay V., Wikswo John P.: . ieee j microelectromech syst 2010, 19, 64. <http://dx.doi.org/10.1109/JMEMS.2009.2037440>
  • Gilgunn Peter J., Alfaro J. Fernando, Fedder Gary K.: Model for aspect ratio dependent etch modulated processing. J Vac Sci Technol A 2010, 28, 334. <http://dx.doi.org/10.1116/1.3305716>
  • Ladroue J., Meritan A., Boufnichel M., Lefaucheux P., Ranson P., Dussart R.: Deep GaN etching by inductively coupled plasma and induced surface defects. J Vac Sci Technol A 2010, 28, 1226. <http://dx.doi.org/10.1116/1.3478674>
  • Chen Yao, Feng Junbo, Zhou Zhiping, Summers Christopher J., Citrin David S., Yu Jun: Simple technique to fabricate microscale and nanoscale silicon waveguide devices. Front Optoelectron China 2009, 2, 308. <http://dx.doi.org/10.1007/s12200-009-0049-1>
  • Wang H., Laws G. M., Milicic S., Boland P., Handugan A., Pratt M., Eschrich T., Myhajlenko S., Allgair J. A., Bunday B.: Low temperature ZEP-520A development process for enhanced critical dimension realization in reactive ion etch etched polysilicon. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 2007, 25, 102. <http://dx.doi.org/10.1116/1.2426976>
  • Hellin Rico R., Du Bois B., Witvrouw A., Van Hoof C., Celis J.-P.: Fabrication of Porous Membranes for MEMS Packaging by One-Step Anodization in Sulfuric Acid. J Electrochem Soc 2007, 154, K74. <http://dx.doi.org/10.1149/1.2752117>
  • Fanara C., Shore P., Nicholls J.R., Lyford N., Kelley J., Carr J., Sommer P.: A New Reactive Atom Plasma Technology (RAPT) for Precision Machining: the Etching of ULE® Surfaces. Adv Eng Mater 2006, 8, 933. <http://dx.doi.org/10.1002/adem.200600028>
  • Goodman Rory S., Materer N., Leone Stephen R.: Ion-enhanced etching of Si(100) with molecular chlorine: Reaction mechanisms and product yields. J Vac Sci Technol A 1999, 17, 3340. <http://dx.doi.org/10.1116/1.582063>
  • Weaver Gabriela C., Campos Francis X., Leone Stephen R.: Hyperthermal CI Atom Beam Produced by Laser Vaporization of Cryogenic ICI Films. Mat Res Symp Proc 1992, 285, 249. <http://dx.doi.org/10.1557/PROC-285-249>
  • Walker Zane H., Ogryzlo Elmer A.: Rate constants for the etching of intrinsic and doped polycrystalline silicon by bromine atoms. J Appl Phys 1991, 69, 2635. <http://dx.doi.org/10.1063/1.348656>