CrossRef enabled

PAC Archives

Archive →

Pure Appl. Chem., 1994, Vol. 66, No. 6, pp. 1381-1388

http://dx.doi.org/10.1351/pac199466061381

Real-time monitoring of surface chemistry during plasma processing

E. S. Aydil, R. A. Gottscho and Y. J. Chabal

CrossRef Cited-by theme picture

CrossRef Cited-by Linking

  • Xiao S.Q., Xu S., Ostrikov K.: Low-temperature plasma processing for Si photovoltaics. Materials Science and Engineering: R: Reports 2014, 78, 1. <http://dx.doi.org/10.1016/j.mser.2014.01.002>
  • Hatch Courtney D., Christie Matthew J., Weingold Robert M., Wu Chia-Ming, Cwiertny David M., Baltrusaitis Jonas: Horizontal Attenuated Total Reflectance Fourier Transform Infrared and X-ray Photoelectron Spectroscopy Measurements of Water Adsorption on Oxidized Tin(II) Sulfide (SnS) Surfaces. J. Phys. Chem. C 2013, 117, 472. <http://dx.doi.org/10.1021/jp310726t>
  • AYDIL E. S., GOTTSCHO R. A., CHABAL Y. J.: ChemInform Abstract: Real-Time Monitoring of Surface Chemistry During Plasma Processing. ChemInform 2010, 25, no. <http://dx.doi.org/10.1002/chin.199452320>
  • Han Y., Mayer D., Offenhäusser A., Ingebrandt S.: Surface activation of thin silicon oxides by wet cleaning and silanization. This Solid Films 2006, 510, 175. <http://dx.doi.org/10.1016/j.tsf.2005.11.048>
  • Shinohara Masanori, Katagiri Teruaki, Iwatsuji Keitaro, Matsuda Yoshinobu, Fujiyama Hiroshi, Kimura Yasuo, Niwano Michio: Oxidation of the hydrogen terminated silicon surfaces by oxygen plasma investigated by in-situ infrared spectroscopy. This Solid Films 2005, 475, 128. <http://dx.doi.org/10.1016/j.tsf.2004.08.054>
  • Al-Kuzee J, Matsuura T, Goodyear A, Nolle L, Hopgood A A, Picton P D, Braithwaite N St J: Optimization of plasma etch processes using evolutionary search methods with in situ diagnostics. Plasma Sources Sci Technol 2004, 13, 612. <http://dx.doi.org/10.1088/0963-0252/13/4/010>
  • Marra D.C, Kessels W.M.M, van de Sanden M.C.M, Kashefizadeh K, Aydil E.S: Surface hydride composition of plasma deposited hydrogenated amorphous silicon: in situ infrared study of ion flux and temperature dependence. Surf Sei 2003, 530, 1. <http://dx.doi.org/10.1016/S0039-6028(03)00396-0>
  • Shinohara Masanori, Kuwano Takayuki, Kimura Yasuo, Niwano Michio: Behavior of hydride species on Si surface during methane plasma irradiation investigated by in-situ infrared spectroscopy. This Solid Films 2003, 435, 13. <http://dx.doi.org/10.1016/S0040-6090(03)00367-5>
  • van Hest M. F. A. M., Klaver A., Schram D. C., van de Sanden M. C. M.: Design of a fast in situ infrared diagnostic tool. Rev Sci Instrum 2003, 74, 2675. <http://dx.doi.org/10.1063/1.1564273>
  • Shinohara Masanori, Kuwano Takayuki, Akama Yosuke, Kimura Yasuo, Niwano Michio, Ishida Hiroyasu, Hatakeyama Rikizo: Interaction of hydrogen-terminated Si(100), (110), and (111) surfaces with hydrogen plasma investigated by in situ real-time infrared absorption spectroscopy. J Vac Sci Technol A 2003, 21, 25. <http://dx.doi.org/10.1116/1.1524146>
  • Duan H.L, Zaharias G.A, Bent Stacey F: Detecting reactive species in hot wire chemical vapor deposition. Curr Opin Solid State Mater 2002, 6, 471. <http://dx.doi.org/10.1016/S1359-0286(02)00076-1>
  • Bermudez V. M., DeSisto W. J.: Study of chromium oxide film growth by chemical vapor deposition using infrared reflection absorption spectroscopy. J Vac Sci Technol A 2001, 19, 576. <http://dx.doi.org/10.1116/1.1339008>
  • Duan H. L., Bent Stacey F.: In Situ Diagnostics of Methane/Hydrogen Plasma Interactions with Si(100). Mater Res Soc Proc 1999, 569, 179. <http://dx.doi.org/10.1557/PROC-569-179>
  • Oehrlein Gottlieb S.: Surface processes in low pressure plasmas. Surf Sei 1997, 386, 222. <http://dx.doi.org/10.1016/S0039-6028(97)00304-X>
  • Han Sang M., Aydil Eray S.: Detection of combinative infrared absorption bands in thin silicon dioxide films. Appl Phys Lett 1997, 70, 3269. <http://dx.doi.org/10.1063/1.118424>
  • Edelberg Erik, Bergh Sam, Naone Ryan, Hall Michael, Aydil Eray S.: Luminescence from plasma deposited silicon films. J Appl Phys 1997, 81, 2410. <http://dx.doi.org/10.1063/1.364247>
  • Han Sang M., Aydil Eray S.: Plasma and surface diagnostics during plasma-enhanced chemical vapor deposition of SiO2 from SiH4/O2/Ar discharges. This Solid Films 1996, 290-291, 427. <http://dx.doi.org/10.1016/S0040-6090(96)09024-4>
  • Deshmukh Shashank C., Aydil Eray S.: Low-temperature plasma enhanced chemical vapor deposition of SiO2. Appl Phys Lett 1994, 65, 3185. <http://dx.doi.org/10.1063/1.112475>